Modélisation comportementale en VHDL-AMS du lien RF pour la simulation et l'optimisation des sytèmes RFID UHF et micro-ondes / Rami Khouri ; sous la direction de Smaïl Tedjini ; co-encadrant Vincent Beroulle

Date :

Type : Livre / Book

Type : Thèse / Thesis

Langue / Language : français / French

Systèmes d'identification par radiofréquence -- Modèles mathématiques

VHDL (langage de description de matériel informatique)

Alimentations (électricité)

Antennes (électronique)

Tedjini, Smaïl (19..-.... ; chercheur en électronique) (Directeur de thèse / thesis advisor)

Beroulle, Vincent (1975-....) (Directeur de thèse / thesis advisor)

Institut national polytechnique (Grenoble ; 1900-....) (Organisme de soutenance / degree-grantor)

Relation : Modélisation comportementale en VHDL-AMS du lien RF pour la simulation et l'optimisation des sytèmes RFID UHF et micro-ondes / Rami Khouri ; co-encadrant Vincent Beroulle / Villeurbanne : [CCSD] , 2009

Relation : Modélisation comportementale en VHDL-AMS du lien RF pour la simulation et l'optimisation des sytèmes RFID UHF et micro-ondes / Rami Khouri ; sous la direction de Smaïl Tedjini ; co-encadrant Vincent Beroulle / Grenoble : Atelier national de reproduction des thèses , 2007

Résumé / Abstract : L'optimisation de l'association « antenne - système» est une préoccupation majeure des concepteurs de tags RFID UHF et micro-ondes. L'évaluation conjointe de l'énergie reçue par ces antennes et de l'énergie re-rayonnée permettrait aux concepteurs d'évaluer directement les potentialités de téléalimentation de leurs tags ainsi que la qualité de la communication. Pour répondre à cette problématique, nous avons développé une stratégie originale de modélisation et de simulation de systèmes RFID intégrés reposant sur l'utilisation du langage VHDL-AMS; langage compatible avec la majorité des outils de Conception Assistée par Ordinateur utilisés en microélectronique. La solution que nous proposons consiste en une modélisation à différents niveaux d'abstraction du système RFID que nous souhaitons optimiser, y compris le lien RF et les antennes. Nous adaptons ainsi le flot de conception classique largement utilisé en électronique numérique à un problème de conception mixte et RF

Résumé / Abstract : Optimization of the "antenna - system" association is a major concern of UHF RFID tag designers. The mutual evaluation of the collected and the re-radiated power by the tag antenna makes possible system performance evaluation, early in the design flow. Thus, we develop VHDL-AMS models of RFID systems at different abstraction levels. Those models include the antennas and the RF link. The main advantage of using the VHDL-AMS language is that it is widely used within the computer-aided design tools and that this language is compatible with the microelectronic design flow